请在 下方输入 要搜索的题目:

​如下Verilog HDL程序所描述的是一个触发器,对它的描述正确的是( )‍​module FF(Q,DATA,CLK)‍input DATA,CLK;‍output Q;‍reg Q;‍​ always @ (posedge CLK)‍​ begin‍ Q <= DATA; ‍end‍​endmodule‍​‍
选项:

A:该触发器对CLK信号的高电平敏感。
B:该触发器对CLK信号的低电平敏感。
C:该触发器对CLK信号的上升沿敏感。
D:该触发器对CLK信号的下降沿敏感。

发布时间:2024-06-08 11:32:02
推荐参考答案 ( 由 搜题小帮手 官方老师解答 )
联系客服
答案:

以下文字与答案无关

提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。

相关试题
登录 - 搜题小帮手
点我刷新
立即注册
注册 - 搜题小帮手
点我刷新
立即登录