搜题
章节测试答案
学历考试
继续教育
网课答案
网课答案全集
登录
注册
请在
下方输入
要搜索的题目:
搜 索
立 即 搜 题
reg 15:0] MEM 0:1023];该语句表示多大的存储器?
语句
存储器
多大
发布时间:
2024-05-16 00:29:13
首页
技能鉴定
推荐参考答案
(
由 搜题小帮手 官方老师解答 )
联系客服
答案:
以下文字与答案无关
提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。
查看参考答案
相关试题
1.
下面定义存储器的语句格式是? 选项: A、 reg [ 7:0] a; B、 mem [7:0] a; C、 reg [7:0] mem [7:0] ; D、 mem [7:0] reg [7:0];
2.
reg类型的数组通常用于描述存储器,reg[15:0]MEM[0:1023];定义存储器字的位数为A.1024B.16C.16384D.1040
3.
reg类型的数组通常用于描述存储器,reg[15:0]MEM[0:1023];定义存储器字的位数为 A: 1024 B: 16 C: 16384 D: 1040
4.
reg类型的数组通常用于描述存储器,reg[15:0] MEM[0:1023]定义存储器的位宽( )。 选项: A、1024 B、16 C、18384 D、1040
5.
55.reg类型的数组通常用于描述存储器,reg 15: 0] MEM 0:1023];定义存储器字的位数为___。 选项: A:A.1024 B:B.16 C:C.16384 D:D.1040
6.
关于reg [7:0] mem [15:0] 说法正确的是( )选项: A:mem是位宽为16位存储器 B:mem有8个存储器 C:mem[6]表示第6位 D:mem是位宽为8的存储器
7.
存储器可以由reg变量组成的数组描述, 例如:reg [0:3] MEM [0:63]; 表示MEM是一个由64个4位reg变量组成的数组。 下面赋值中错误的是()。选项: A:reg [1:5] qburst; qburst=5'b11011;; B:reg hold_gnt [1:5]; hold_gnt=5'b11011;; C:reg [0:3] xp_rom [1:4]; xp_rom[1]=4'hA; xp_rom[2]=4'h8; xp_rom[3]=4'hF; xp_rom[4]=4'h2;; D:reg [7:0] mem_a [63:0], mem_b[63:0]; integer i; for(i=0;i<64; i=i+1) mem_ai=mem_b[i];
8.
存储器可以由reg变量组成的数组描述, 例如:reg [0:3] MEM [0:63]; 表示MEM是一个由64个4位reg变量组成的数组。 下面赋值中错误的是()。选项: A:reg [1:5] qburst; qburst=5'b11011;; B:reg hold_gnt [1:5]; hold_gnt=5'b11011;; C:reg [0:3] xp_rom [1:4]; xp_rom[1]=4'hA; xp_rom[2]=4'h8; xp_rom[3]=4'hF; xp_rom[4]=4'h2;; D:reg [7:0] mem_a [63:0], mem_b[63:0]; integer i; for(i=0;i<64; i=i+1) mem_a[i]=mem_b[i];
9.
声明一个含有256个字的存储器MEM,每个字的字长为64位:reg [255:0] MEM [63:0]; ( )选项: A:对 B:错
10.
下列数据类型声明错误的是( )。选项: A:wire [0:3] state;; B:wire data_i [2:0];; C:reg [2:0] C_mem [0:7]; ; D:reg m2 [15:0];
11.
下列语句正确的是( )选项: A:wire [7:0] a; wire [7:0] b; a <= b; B:wire [7:0] a; reg [7:0] b; a <= b; C:reg [7:0] a, reg [7:0] b; a = b; D:reg [7:0] a;reg [7:0] b;a <= b;
12.
实验内容2用32*32的RAM实现寄存器堆,选出合适的存储器描述选项: A:localparam MEMDEPTH=1<<5 logic [31:0] mem [MEMDEPTH-1]; B:localparam MEMDEPTH=32; logic [31:0] mem [0:MEMDEPTH];; C:localparam MEMDEPTH=1<<5 logic [4:0] mem [0:MEMDEPTH]; D:localparam MEMDEPTH=2**5; logic [4:0] mem [0:MEMDEPTH-1];
13.
logic [DATAWIDTH -1:0] mem [0:MEMDEPTH-1]; 下面的initial语句块中,使用系统函数从把文件内容读出,填入mem数值,这个文件的值是使用2进制表示的。 initial begin $readmemh("init_mem.txt",mem); end选项: A:正确; B:错误
14.
reg [15:0] music [19:0];这句话表示为( )。 选项: A、声明了一个存储器变量music。 B、music变量由16个reg型变量组成。 C、music变量由20个reg型变量组成。 D、music的每一个reg型变量都是16位。
15.
实验任务2用32*32的RAM实现寄存器堆,选出合适的存储器描述选项: A:localparam MEMDEPTH=1<<5 logic [31:0] mem [MEMDEPTH-1]; B:localparam MEMDEPTH=32; logic [31:0] mem [0:MEMDEPTH];; C:localparam MEMDEPTH=1<<5 logic [4:0] mem [0:MEMDEPTH]; D:localparam MEMDEPTH=2**5; logic [4:0] mem [0:MEMDEPTH-1];
16.
下列程序中的4个赋值语句完成赋值时刻正确的是()。 initial begin #15 reg_a = 16’b0; #10 reg_b[15:13] <= {x, y, z}; #5 reg_c[2] <= 1’b1; count = count + 1; end
17.
module SHFT1(CLK,LOAD,DIN,QB); output QB; input CLK,LOAD; input[7:0] DIN; reg[7:0] REG8; always @(posedge CLK ) if (LOAD) REG8<=DIN ; else REG8[6:0]<=REG8[7:1]; assign QB = REG8[0] ; endmodule该程序实现含同步并行预置功能的8位左移移位寄存器。选项: A:正确; B:错误
18.
下列Verilog HDL语句中,数据类型定义与注释矛盾的是( ) 选项: A、reg [1:5] areg; //areg为4位寄存器类型变量 B、reg [15:0] memory; //memory为16位寄存器类型变量 C、wire [3:0] sat; //sat为4位线网类型变量 D、reg [0:3] myreg; //myreg为4位寄存器类型变量
19.
reg[3:0] cnt;//这句话表示定义了一个4位的reg型变量cnt,cnt的每一位表示为:cnt[3]、cnt[2]、cnt[1]、cnt[0]。选项: A:正确; B:错误
20.
module SHFT1(CLK,LOAD,DIN,QB); output QB; input CLK,LOAD; input[7:0] DIN; reg[7:0] REG8; always @(posedge CLK ) if (LOAD) REG8<=DIN ; else REG8[6:0]<=REG8[7:1]; assign QB = REG8[0] ; endmodule 该程序实现的功能为: 选项:A、moduleSHFT1(CLK,LOAD,DIN,QB); B、 C、outputQB;inputCLK,LOAD; D、 E、input[7:0]DIN;reg[7:0]REG8; F、 G、always@(posedgeCLK) H、 I、if(LOAD)REG8<=DIN; J、 K、elseREG8[6:0]<=REG8[7:1]; L、 M、assignQB=REG8[0]; N、 O、endmodule P、 Q、该程序实现的功能为: R、 S、 A.左移移位寄存器B.含同步并行预置功能C.右移移位寄存器D.含异步并行预置功能
用户中心
登录
没有账号?
点我注册
热门标签
技术工
从事贸易
盛况空前
为公司
南洋群岛
尾气
抵达
改革者
加热炉
圈套
登录 - 搜题小帮手
登录
立即注册
已购买搜题包,但忘记账号密码?
登录即同意
《服务协议》
及
《隐私政策》
注册 - 搜题小帮手
确认注册
立即登录
登录即同意
《服务协议》
及
《隐私政策》
购买搜题卡查看答案
购买前请仔细阅读
《购买须知》
体验
30天体验包
¥
5.99
无赠送,体验一下
查看100次答案
推荐
半年基础包
¥
9.99
畅享300次搜题
查看300次答案
随心用
超值包一年
¥
29.99
超值包,一万次搜题
查看10000次答案
月卡
月卡
¥
19.99
30天无限搜题
查看30天答案
请选择支付方式
已有帐号 点我登陆
微信支付
支付宝扫码
请输入您的手机号码:
点击支付即表示同意并接受了
《服务协议》
和
《购买须知》
填写手机号码系统自动为您注册
立即支付
我们不保证100%有您要找的试题及正确答案!请确保接受后再支付!
联系客服
找回账号密码
微信支付
订单号:
1111
遇到问题请
联系客服
恭喜您,购买搜题卡成功
系统为您生成的账号密码如下:
账号
密码
重要提示:
请勿将账号共享给其他人使用,违者账号将被封禁。
保存账号查看答案
请不要关闭本页面,支付完成后请点击【支付完成】按钮
支付完成
取消支付
遇到问题请联系
在线客服