请在 下方输入 要搜索的题目:

阅读下列代码,回答问题:module shift_example (din,clk,load,rst,dout);input clk,rst,load;input [3:0] din;output dout;reg [3:0] tmp_reg;always@(posedge clk or negedge rst)begin if(rst==1'b0) tmp_reg<=4'b0000; else if(load==1'b1) tmp_reg<=din; else begin tmp_reg<=tmp_reg<<1; endend endmodule下列关于这个移位寄存器的说法正确的是( )。
选项:

A:异步复位,低电平有效;同步置数,高电平有效;
B:异步复位,低电平有效;异步置数,高电平有效;
C:同步复位,低电平有效;异步置数,高电平有效;
D:同步复位,低电平有效;同步置数,高电平有效

发布时间:2024-06-22 23:47:52
推荐参考答案 ( 由 搜题小帮手 官方老师解答 )
联系客服
答案:

以下文字与答案无关

提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。

相关试题
登录 - 搜题小帮手
点我刷新
立即注册
注册 - 搜题小帮手
点我刷新
立即登录