请在 下方输入 要搜索的题目:

阅读以下这段代码:module fre_ctrl(clk,rst_n,cnt_en,cnt_rst,cnt_load);input clk,rst_n;output cnt_en,cnt_rst,cnt_load;reg div2_clk;always@(posedge clk or negedge rst_n) if(!rst_n) div2_clk<=1'b0; else div2_clk<=~div2_clk; always@(clk,div2_clk)begin if(clk==1'b0 & div2_clk==1'b0) cnt_rst<=1'b1; else cnt_rst<=1'b0;endassign cnt_load=~div2_clk;assign cnt_en=div2_clk;endmodule在编译时,出现报错信息“Error (10137): Verilog HDL Procedural Assignment error at fre_ctrl.v(15): object "cnt_rst" on left-hand side of assignment must have a variable data type”分析错误原因应该是( )。
选项:

A:cnt_rst不应该定义为输出端口;
B:cnt_rst应该是reg型变量;
C:cnt_rst应该是wire型变量;
D:cnt_rst应该用非阻塞赋值方式赋值

发布时间:2024-06-22 23:37:34
推荐参考答案 ( 由 搜题小帮手 官方老师解答 )
联系客服
答案:

以下文字与答案无关

提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。

相关试题
登录 - 搜题小帮手
点我刷新
立即注册
注册 - 搜题小帮手
点我刷新
立即登录