请在 下方输入 要搜索的题目:

试设计一个 3/8 译码器,规定模块定义为 module Decoder(Out,In,En), 其中 Out为译码器输出, In 为译码器输入, En 为译码使能输入。要求:写出 3/8 译码器 Verilog HDL设计程序并注释;module decoder(Out,In,En); (2 分)output [7:0] out;input [2:0] in;input en; //IO 定义 (3 分)reg [7:0] out;
A:lways @ (In or En)
B:egin
C:n == 0) //若 En 为低电平, 3 输出无效电平 (2 分)
D:Out = 8 ’ b0;
E:// 若 En 为高电平, 3/8 译码 (3 分)
F:se(in)

发布时间:2024-04-28 19:43:28
推荐参考答案 ( 由 搜题小帮手 官方老师解答 )
联系客服
答案:

以下文字与答案无关

提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。

相关试题
登录 - 搜题小帮手
点我刷新
立即注册
注册 - 搜题小帮手
点我刷新
立即登录