请在 下方输入 要搜索的题目:

下面关于组合逻辑的Verilog HDL,不正确的是( )
选项:

A:在Verilog HDL中,continuous assignment将被综合为组合逻辑。;
B:case语句可以用来描述数据选择器。;
C:组合逻辑可以写在always块的里面或者外面。;
D:在always块中描述的组合逻辑,应该使用非阻塞赋值。

发布时间:2024-05-16 00:09:54
推荐参考答案 ( 由 搜题小帮手 官方老师解答 )
联系客服
答案:

以下文字与答案无关

提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。

相关试题
登录 - 搜题小帮手
点我刷新
立即注册
注册 - 搜题小帮手
点我刷新
立即登录