请在 下方输入 要搜索的题目:

以下程序使用的语句是( ) LIBRARY IEEE;USE IEE
E:STD_LOGIC_1164.ALL;ENTITY MUX4 IS PORT(I0,I1,I2,I3,A,
B:IN STD_LOGIC;Q:OUT STD_LOGIC);END MUX4;ARCHITECTURE ART OF MUX4 IS SIGNAL SEL:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN SEL=B&A; Q<=I0 WHEN SEL=”00” ELSE I1 WHEN SEL=”01” ELSE I2 WHEN SEL=”10” ELSE I3 WHEN SEL=”11” ELSE ‘X’;END ART;
选项:

A:条件信号赋值语句;
B:选择信号赋值语句;
C:IF;
D:CASE语句

发布时间:2024-06-09 15:01:59
推荐参考答案 ( 由 搜题小帮手 官方老师解答 )
联系客服
答案:

以下文字与答案无关

提示:有些试题内容 显示不完整,文字错误 或者 答案显示错误等问题,这是由于我们在扫描录入过程中 机器识别错误导致,人工逐条矫正总有遗漏,所以恳请 广大网友理解。

相关试题
登录 - 搜题小帮手
点我刷新
立即注册
注册 - 搜题小帮手
点我刷新
立即登录